fix: escape jinja '.'

This commit is contained in:
Pierre 'McFly' Marty 2023-12-14 19:57:12 +01:00 committed by GitHub
parent 0f7b89523f
commit 7a83c2026c
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23

View File

@ -87,11 +87,11 @@ metrics:
# Bridge config
bridge:
# Localpart template of MXIDs for Signal users.
# {{.}} is replaced with the internal ID of the Signal user.
username_template: signal_{{.}}
# {{ '{{.}}' }} is replaced with the internal ID of the Signal user.
username_template: "{{ 'signalgo_{{.}}' }}"
# Displayname template for Signal users. This is also used as the room name in DMs if private_chat_portal_meta is enabled.
# Available variables: TODO
displayname_template: '{{.ProfileName}} (Signalgo)'
displayname_template: "{{ '{{.ProfileName}} (Signalgo)' }}"
# Whether to explicitly set the avatar and room name for private chat portal rooms.
# If set to `default`, this will be enabled in encrypted rooms and disabled in unencrypted rooms.
# If set to `always`, all DM rooms will have explicit names and avatars set.